If you like BoxMatrix then please contribute Supportdata, Supportdata2, Firmware and/or Hardware (get in touch).
My metamonk@yahoo.com is not reachable by me since years. Please use hippie2000@webnmail.de instead.

0
U

Property:dumpsysinfo.sh

From BoxMatrix


BoxMatrix >> Shell-Commands >> dumpsysinfo.sh @ BoxMatrix   -   IRC-Chat   -   Translate: de es fr it nl pl
News Selectors Models Accessories Components Environment Config Commands System Webif Software Develop Lexicon Community Project Media

Startup-Scr Hotplug-Scr BusyBox-Cmds Bash-Cmds AVM-Cmds Chipset-Cmds Linux-Cmds Shared-Libs Kernel-Mods Research

Chipset-Command

Goto:   Examples  -  Dependencies   -   Model-Matrix   -   Symbols   -   SMW-Browser

Details

dumpsysinfo.sh creates a supportdata alike system report for the BCM63 chipset.
It is only used by libcms_cli.so.

Examples

7581 fw 7.17:

root@fritz:/var/mod/root# /opt/scripts/dumpsysinfo.sh

Version Info
######kernel version###### Linux version 4.1.38 (jpluschke@guxVersionx76288xMESH18NL3x758xBrcmxbuildx93246xwartung758x) (gcc version 5.4.0 (Buildroot 2016.05-gc3ca4dd) ) #1 SMP PREEMPT Sun Sep 3 10:07:44 CEST 2023 ######wl version###### 1.363 RC45.18480 wl0: May 21 2021 12:26:54 version 7.35.260.80014 (r693134) FWID 01-5ce9b347(7.14.164.19.cpe5.02L03.0-kdb) vendorid 0x14e4 deviceid 0x43bc radiorev 0x72069 chipnum 0xaa52 chiprev 0x1 chippackage 0x1 corerev 0x31 boardid 0x6ea boardvendor 0x14e4 boardrev P121 driverrev 0x7231041 ucoderev 0x3da0098 bus 0x0 phytype 0xb phyrev 0x12 anarev 0x0 nvramrev 0 ######ASPM Configuration###### [default] performance powersave l0s_powersave l1_powersave /bin/wlctl: command "aspm"@wl0 returned Unsupported 0 ######WLAN power save settings###### 1 1 ######xdsl version###### /bin/xdslctl version 1.2 ADSL PHY: AnnexB version - B2pvfbH046y.d27f ######Power Management Configuration###### Power Management Configuration Functional Block Status CPU Speed Divisor auto CPU Wait ENABLED Ethernet Auto Power Down ENABLED Energy Efficient Ethernet ENABLED Switch Deep Green Mode ENABLED (status:Deactivated)
System Info
######/proc/uptime###### 245528.17 488520.10 ######/proc/cpuinfo###### processor : 0 model name : ARMv7 Processor rev 1 (v7l) BogoMIPS : 1330.17 Features : half thumb fastmult edsp tls CPU implementer : 0x41 CPU architecture: 7 CPU variant : 0x4 CPU part : 0xc09 CPU revision : 1 processor : 1 model name : ARMv7 Processor rev 1 (v7l) BogoMIPS : 1330.17 Features : half thumb fastmult edsp tls CPU implementer : 0x41 CPU architecture: 7 CPU variant : 0x4 CPU part : 0xc09 CPU revision : 1 Hardware : BCM963138 Revision : 0000 Serial : 0000000000000000 ######/proc/brcm/kernel_config###### CONFIG_SMP=1 CONFIG_PREEMPT=1 CONFIG_DEBUG_SPINLOCK=0 CONFIG_DEBUG_MUTEXES=0 ######/proc/interrupts###### CPU0 CPU1 61: 0 0 GIC 61 Edge brcm_61 64: 0 5628 GIC 64 Edge serial 72: 5338813 0 GIC 72 Edge dsl 78: 171361 0 GIC 78 Edge brcm_78 79: 0 0 GIC 79 Edge brcm_79 80: 0 0 GIC 80 Edge brcm_dhd_80 92: 659553 0 GIC 92 Edge dhdpcie:0000:01:00.0 93: 2761175 0 GIC 93 Edge wl1 96: 0 0 GIC 96 Edge brcm_96 97: 0 245522599 GIC 97 Edge brcm_97 98: 245522589 0 GIC 98 Edge brcm_98 99: 11324 0 GIC 99 Edge brcm_99 104: 1 0 GIC 104 Edge ohci_hcd:usb4 105: 0 0 GIC 105 Edge ehci_hcd:usb3 106: 0 0 GIC 106 Edge xhci-hcd:usb1 109: 0 0 GIC 109 Edge brcm_109 110: 0 0 GIC 110 Edge brcm_110 111: 0 0 GIC 111 Edge brcm_111 254: 0 0 GIC 254 Edge FASTIRQ_TO_LINUX_IPI 255: 0 8 GIC 255 Edge FASTIRQ_TO_LINUX_IPI IPI0: 0 0 CPU wakeup interrupts IPI1: 0 0 Timer broadcast interrupts IPI2: 845165 4328387 Rescheduling interrupts IPI3: 0 0 Function call interrupts IPI4: 40 33 Single function call interrupts IPI5: 0 0 CPU stop interrupts IPI6: 0 0 IRQ work interrupts IPI7: 0 0 completion interrupts Err: 0 ######/proc/meminfo###### MemTotal: 432424 kB MemFree: 182068 kB MemAvailable: 231784 kB Buffers: 18404 kB Cached: 58960 kB SwapCached: 0 kB Active: 54000 kB Inactive: 35884 kB Active(anon): 21216 kB Inactive(anon): 1768 kB Active(file): 32784 kB Inactive(file): 34116 kB Unevictable: 7864 kB Mlocked: 7864 kB SwapTotal: 0 kB SwapFree: 0 kB Dirty: 0 kB Writeback: 0 kB AnonPages: 20332 kB Mapped: 26376 kB Shmem: 4540 kB Slab: 37500 kB SReclaimable: 6560 kB SUnreclaim: 30940 kB KernelStack: 1032 kB PageTables: 1104 kB NFS_Unstable: 0 kB Bounce: 0 kB WritebackTmp: 0 kB CommitLimit: 216212 kB Committed_AS: 46384 kB VmallocTotal: 499712 kB VmallocUsed: 18744 kB VmallocChunk: 404360 kB ######/proc/iomem###### 00000000-007fffff : System RAM 00008000-0062c8ff : Kernel code 00664000-0078cf47 : Kernel data 00c00000-035fffff : System RAM 07c00000-07cfffff : System RAM 08000000-1fffffff : System RAM 8000c300-8000c3ff : ehci-platform.0 8000c300-8000c3ff : ehci-platform.0 8000c400-8000c4ff : ohci-platform.0 8000c400-8000c4ff : ohci-platform.0 8000d000-8000dfff : xhci-hcd.0 8000d000-8000dfff : xhci-hcd.0 80060000-8006ffff : bcm963xx-pcie.0 80070000-8007ffff : bcm963xx-pcie.1 90000000-9fffffff : <BAD> 90000000-905fffff : PCI Bus 0000:01 90000000-903fffff : 0000:01:00.0 90400000-90407fff : 0000:01:00.0 a0000000-afffffff : <BAD> a0000000-a00fffff : PCI Bus 0001:01 a0000000-a0003fff : 0001:01:00.0 fc100200-fc10027f : pcmlink_pcm fc100800-fc100c5f : pcmlink_pcmdma fffe80f0-fffe80ff : nand-int-base fffea000-fffea5ff : nand ######/proc/slabinfo###### slabinfo - version: 2.1 # name <active_objs> <num_objs> <objsize> <objperslab> <pagesperslab> : tunables <limit> <batchcount> <sharedfactor> : slabdata <active_slabs> <num_slabs> <sharedavail> ctimer 64 64 64 64 1 : tunables 0 0 0 : slabdata 1 1 0 pcp66 0 0 272 15 1 : tunables 0 0 0 : slabdata 0 0 0 ip6_neighbour 0 0 72 56 1 : tunables 0 0 0 : slabdata 0 0 0 ip6_destination 85 85 48 85 1 : tunables 0 0 0 : slabdata 1 1 0 iprouteset 0 0 168 24 1 : tunables 0 0 0 : slabdata 0 0 0 ipsecencap 0 0 2976 11 8 : tunables 0 0 0 : slabdata 0 0 0 pcp44 0 0 184 22 1 : tunables 0 0 0 : slabdata 0 0 0 ipmasqentry 0 0 104 39 1 : tunables 0 0 0 : slabdata 0 0 0 datapipe 0 0 9064 3 8 : tunables 0 0 0 : slabdata 0 0 0 ext2_inode_cache 0 0 496 16 2 : tunables 0 0 0 : slabdata 0 0 0 fat_inode_cache 0 0 432 18 2 : tunables 0 0 0 : slabdata 0 0 0 fat_cache 0 0 24 170 1 : tunables 0 0 0 : slabdata 0 0 0 bcmVlan_blogRule_id 0 0 8 512 1 : tunables 0 0 0 : slabdata 0 0 0 bcmvlan_realDev 0 0 464 17 2 : tunables 0 0 0 : slabdata 0 0 0 ubifs_inode_slab 36 36 440 18 2 : tunables 0 0 0 : slabdata 2 2 0 ip6-frags 0 0 136 30 1 : tunables 0 0 0 : slabdata 0 0 0 RAWv6 40 40 800 20 4 : tunables 0 0 0 : slabdata 2 2 0 UDPLITEv6 0 0 800 20 4 : tunables 0 0 0 : slabdata 0 0 0 UDPv6 60 60 800 20 4 : tunables 0 0 0 : slabdata 3 3 0 tw_sock_TCPv6 66 66 184 22 1 : tunables 0 0 0 : slabdata 3 3 0 TCPv6 60 60 1600 20 8 : tunables 0 0 0 : slabdata 3 3 0 fuse_inode 0 0 448 18 2 : tunables 0 0 0 : slabdata 0 0 0 jffs2_xattr_datum 73 73 56 73 1 : tunables 0 0 0 : slabdata 1 1 0 jffs2_refblock 0 0 248 16 1 : tunables 0 0 0 : slabdata 0 0 0 jffs2_full_dnode 0 0 16 256 1 : tunables 0 0 0 : slabdata 0 0 0 jffs2_i 0 0 392 20 2 : tunables 0 0 0 : slabdata 0 0 0 antfs_inode 0 0 544 15 2 : tunables 0 0 0 : slabdata 0 0 0 squashfs_inode_cache 1311 1311 416 19 2 : tunables 0 0 0 : slabdata 69 69 0 jbd2_transaction_s 0 0 160 25 1 : tunables 0 0 0 : slabdata 0 0 0 jbd2_revoke_table_s 0 0 16 256 1 : tunables 0 0 0 : slabdata 0 0 0 ext4_inode_cache 0 0 656 12 2 : tunables 0 0 0 : slabdata 0 0 0 ext4_allocation_context 0 0 104 39 1 : tunables 0 0 0 : slabdata 0 0 0 ext4_prealloc_space 0 0 72 56 1 : tunables 0 0 0 : slabdata 0 0 0 ext4_io_end 0 0 40 102 1 : tunables 0 0 0 : slabdata 0 0 0 ext4_extent_status 0 0 32 128 1 : tunables 0 0 0 : slabdata 0 0 0 dio 0 0 352 23 2 : tunables 0 0 0 : slabdata 0 0 0 posix_timers_cache 0 0 152 26 1 : tunables 0 0 0 : slabdata 0 0 0 ip4-frags 0 0 112 36 1 : tunables 0 0 0 : slabdata 0 0 0 UDP-Lite 0 0 672 12 2 : tunables 0 0 0 : slabdata 0 0 0 request_sock_MPTCP 28 28 288 14 1 : tunables 0 0 0 : slabdata 2 2 0 request_sock_MPTCP6 0 0 288 14 1 : tunables 0 0 0 : slabdata 0 0 0 mptcp_tw 128 128 64 64 1 : tunables 0 0 0 : slabdata 2 2 0 mptcp_cb 40 40 800 20 4 : tunables 0 0 0 : slabdata 2 2 0 xfrm_dst_cache 28 28 288 14 1 : tunables 0 0 0 : slabdata 2 2 0 RAW 24 24 640 12 2 : tunables 0 0 0 : slabdata 2 2 0 UDP 48 48 672 12 2 : tunables 0 0 0 : slabdata 4 4 0 tw_sock_TCP 66 66 184 22 1 : tunables 0 0 0 : slabdata 3 3 0 request_sock_TCP 36 36 216 18 1 : tunables 0 0 0 : slabdata 2 2 0 TCP 44 44 1472 22 8 : tunables 0 0 0 : slabdata 2 2 0 eventpoll_pwq 408 408 40 102 1 : tunables 0 0 0 : slabdata 4 4 0 blog_rule 36 36 224 18 1 : tunables 0 0 0 : slabdata 2 2 0 blkdev_queue 15 15 1032 15 4 : tunables 0 0 0 : slabdata 1 1 0 blkdev_requests 76 76 208 19 1 : tunables 0 0 0 : slabdata 4 4 0 biovec-256 10 10 3072 10 8 : tunables 0 0 0 : slabdata 1 1 0 biovec-128 0 0 1536 21 8 : tunables 0 0 0 : slabdata 0 0 0 biovec-64 0 0 768 21 4 : tunables 0 0 0 : slabdata 0 0 0 sock_inode_cache 378 378 384 21 2 : tunables 0 0 0 : slabdata 18 18 0 skbuff_fclone_cache 315 403 608 13 2 : tunables 0 0 0 : slabdata 31 31 0 skbuff_head_cache 2376 2436 320 12 1 : tunables 0 0 0 : slabdata 203 203 0 proc_inode_cache 4221 4356 368 22 2 : tunables 0 0 0 : slabdata 198 198 0 sigqueue 56 56 144 28 1 : tunables 0 0 0 : slabdata 2 2 0 bdev_cache 34 34 480 17 2 : tunables 0 0 0 : slabdata 2 2 0 shmem_inode_cache 1113 1113 376 21 2 : tunables 0 0 0 : slabdata 53 53 0 kernfs_node_cache 10404 10404 80 51 1 : tunables 0 0 0 : slabdata 204 204 0 inode_cache 3828 3828 336 12 1 : tunables 0 0 0 : slabdata 319 319 0 dentry 11760 11760 136 30 1 : tunables 0 0 0 : slabdata 392 392 0 buffer_head 18496 18496 64 64 1 : tunables 0 0 0 : slabdata 289 289 0 nsproxy 1020 1020 24 170 1 : tunables 0 0 0 : slabdata 6 6 0 vm_area_struct 4585 4876 88 46 1 : tunables 0 0 0 : slabdata 106 106 0 mm_struct 95 95 416 19 2 : tunables 0 0 0 : slabdata 5 5 0 signal_cache 255 255 544 15 2 : tunables 0 0 0 : slabdata 17 17 0 sighand_cache 169 192 1312 12 4 : tunables 0 0 0 : slabdata 16 16 0 task_struct 165 169 1184 13 4 : tunables 0 0 0 : slabdata 13 13 0 anon_vma 3995 3995 48 85 1 : tunables 0 0 0 : slabdata 47 47 0 radix_tree_node 806 806 312 13 1 : tunables 0 0 0 : slabdata 62 62 0 idr_layer_cache 135 135 1072 15 4 : tunables 0 0 0 : slabdata 9 9 0 dma-kmalloc-8192 0 0 8192 4 8 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-4096 0 0 4096 8 8 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-2048 0 0 2048 16 8 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-1024 0 0 1024 16 4 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-512 0 0 512 16 2 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-256 0 0 256 16 1 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-128 0 0 128 32 1 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-64 0 0 64 64 1 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-32 0 0 32 128 1 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-192 0 0 192 21 1 : tunables 0 0 0 : slabdata 0 0 0 dma-kmalloc-96 0 0 96 42 1 : tunables 0 0 0 : slabdata 0 0 0 kmalloc-8192 1704 1704 8192 4 8 : tunables 0 0 0 : slabdata 426 426 0 kmalloc-4096 2427 2448 4096 8 8 : tunables 0 0 0 : slabdata 306 306 0 kmalloc-2048 272 352 2048 16 8 : tunables 0 0 0 : slabdata 22 22 0 kmalloc-1024 528 528 1024 16 4 : tunables 0 0 0 : slabdata 33 33 0 kmalloc-512 528 528 512 16 2 : tunables 0 0 0 : slabdata 33 33 0 kmalloc-256 512 512 256 16 1 : tunables 0 0 0 : slabdata 32 32 0 kmalloc-192 2335 2373 192 21 1 : tunables 0 0 0 : slabdata 113 113 0 kmalloc-128 2336 2336 128 32 1 : tunables 0 0 0 : slabdata 73 73 0 kmalloc-96 2016 2016 96 42 1 : tunables 0 0 0 : slabdata 48 48 0 kmalloc-64 2488 3776 64 64 1 : tunables 0 0 0 : slabdata 59 59 0 kmalloc-32 15053 15744 32 128 1 : tunables 0 0 0 : slabdata 123 123 0 kmem_cache_node 256 256 32 128 1 : tunables 0 0 0 : slabdata 2 2 0 kmem_cache 128 128 128 32 1 : tunables 0 0 0 : slabdata 4 4 0 ######/proc/modules###### krtp 206097 0 - Live 0xc0a10000 (PO) kdsldmod 1473163 4 - Live 0xbf783000 (PO) cprocfsmod 6337 1 kdsldmod, Live 0xbf77d000 (PO) bcm_usb 1463 0 - Live 0xbf779000 ohci_platform 3647 0 - Live 0xbf775000 ohci_hcd 27187 1 ohci_platform, Live 0xbf769000 ehci_platform 4171 0 - Live 0xbf764000 ehci_hcd 39142 1 ehci_platform, Live 0xbf755000 xhci_plat_hcd 3559 0 - Live 0xbf751000 xhci_hcd 89956 1 xhci_plat_hcd, Live 0xbf734000 ext2 56196 0 - Live 0xbf71f000 vfat 9099 0 - Live 0xbf719000 fat 49647 1 vfat, Live 0xbf706000 dect_io 16561 0 - Live 0xbf6fe000 (P) capi_codec 359156 0 - Live 0xc09b8000 (P) isdn_fbox_fon5 813867 6 krtp, Live 0xc08f1000 (P) avm_dect 422787 1 dect_io, Live 0xc0889000 (P) pcmlink 554004 4 capi_codec,isdn_fbox_fon5,avm_dect, Live 0xc0801000 (P) nfnetlink 4501 0 - Live 0xbf6a4000 xt_SKIPLOG 653 0 - Live 0xbf6a0000 xt_TCPMSS 2798 0 - Live 0xbf69c000 ip6table_mangle 1148 0 - Live 0xbf698000 ip6_tables 9845 1 ip6table_mangle, Live 0xbf691000 iptable_mangle 1037 0 - Live 0xbf68d000 iptable_filter 912 0 - Live 0xbf689000 ip_tables 9554 2 iptable_mangle,iptable_filter, Live 0xbf682000 xt_multiport 1452 0 - Live 0xbf67e000 xt_mark 835 0 - Live 0xbf67a000 xt_mac 757 0 - Live 0xbf676000 xt_DSCP 1613 0 - Live 0xbf672000 xt_dscp 1277 0 - Live 0xbf66e000 wl 4416137 0 - Live 0xbf1ca000 (PO) dhd 446968 0 - Live 0xbf141000 (O) wlemf 70162 2 wl,dhd, Live 0xbf127000 (PO) wfd 15625 2 wl,dhd, Live 0xbf11f000 abe 24507 2 wl,dhd, Live 0xbf114000 (PO) ip_gre 8520 0 - Live 0xbf10d000 gre 3327 1 ip_gre, Live 0xbf109000 otp 2109 0 - Live 0xbf105000 (P) bcmtrng 2842 0 - Live 0xbf101000 rng_core 5810 2 bcmtrng, Live 0xbf0fb000 pwrmngtd 2527 0 - Live 0xbf0f7000 (P) bcmvlan 87766 0 - Live 0xbf0d9000 (P) usbcore 141317 6 ohci_platform,ohci_hcd,ehci_platform,ehci_hcd,xhci_plat_hcd,xhci_hcd, Live 0xbf0a6000 usb_common 1843 1 usbcore, Live 0xbf0a2000 bcmmcast 51949 4 dhd,wlemf,wfd, Live 0xbf08e000 pktrunner 207668 0 - Live 0xbf054000 (P) bcm_enet 173962 1 wl, Live 0xbf01b000 adsldd 554188 0 - Live 0xbef81000 (PO) bcmxtmcfg 86779 1 adsldd, Live 0xbef63000 (P) pktflow 165280 1 pktrunner, Live 0xbef32000 (P) bcm_ingqos 10782 0 - Live 0xbef2b000 (P) bcmxtmrtdrv 34745 2 bcmxtmcfg,pktflow, Live 0xbef1d000 rdpa_cmd 70629 0 - Live 0xbef05000 chipinfo 1299 0 - Live 0xbef01000 (P) rdpa_mw 23983 1 bcmvlan, Live 0xbeef6000 rdpa 1162093 3 wfd,bcm_enet,bcmxtmrtdrv, Live 0xbed92000 (P) rdpa_gpl_ext 899 0 - Live 0xbed8e000 rdpa_gpl 16617 10 dhd,wfd,bcmvlan,pktrunner,bcm_enet,bcm_ingqos,bcmxtmrtdrv,rdpa_cmd,rdpa_mw,rdpa, Live 0xbed7f000 bdmf 1241179 9 dhd,wfd,pktrunner,bcm_enet,bcmxtmrtdrv,rdpa_cmd,rdpa_mw,rdpa,rdpa_gpl, Live 0xbec3e000 wlcsm 5257 20 wl,dhd,wlemf,abe, Live 0xbec39000 (P) rtc_avm 3777 1 pcmlink, Live 0xc0800000 led_modul_Fritz_Box_HW224 186104 6 - Live 0xbec00000 (PO) ######/proc/timer_list###### Timer List Version: v0.7 HRTIMER_MAX_CLOCK_BASES: 4 now at 245528199123760 nsecs cpu: 0 clock 0: .base: dfb5b3d0 .index: 0 .resolution: 1000000 nsecs .get_time: ktime_get active timers: #0: <d4e75ee0>, hrtimer_wakeup, S:01 # expires at 245528208453100-245528208653097 nsecs [in 9329340 to 9529337 nsecs] #1: <da293ee0>, hrtimer_wakeup, S:01 # expires at 245528258390440-245528258479438 nsecs [in 59266680 to 59355678 nsecs] #2: <d4e04498>, it_real_fn, S:01 # expires at 245528259070320-245528259070320 nsecs [in 59946560 to 59946560 nsecs] #3: <d4e83ee0>, hrtimer_wakeup, S:01 # expires at 245528315574660-245528316572657 nsecs [in 116450900 to 117448897 nsecs] #4: <d5b35ee0>, hrtimer_wakeup, S:01 # expires at 245528324595140-245528325585137 nsecs [in 125471380 to 126461377 nsecs] #5: <d4d03ee0>, hrtimer_wakeup, S:01 # expires at 245528331704940-245528332704937 nsecs [in 132581180 to 133581177 nsecs] #6: <d3e0fae0>, hrtimer_wakeup, S:01 # expires at 245528418405900-245528419405894 nsecs [in 219282140 to 220282134 nsecs] #7: <d4f45ee0>, hrtimer_wakeup, S:01 # expires at 245528491508720-245528492508717 nsecs [in 292384960 to 293384957 nsecs] #8: <d4ff7ee0>, hrtimer_wakeup, S:01 # expires at 245528530598000-245528531597997 nsecs [in 331474240 to 332474237 nsecs] #9: <d4e5bb78>, hrtimer_wakeup, S:01 # expires at 245528605394920-245528606394915 nsecs [in 406271160 to 407271155 nsecs] #10: <d5b19ee0>, hrtimer_wakeup, S:01 # expires at 245528766468880-245528767465877 nsecs [in 567345120 to 568342117 nsecs] #11: <d4dddee0>, hrtimer_wakeup, S:01 # expires at 245528791735160-245528792733157 nsecs [in 592611400 to 593609397 nsecs] #12: <d4d69ae0>, hrtimer_wakeup, S:01 # expires at 245528807425640-245528808425634 nsecs [in 608301880 to 609301874 nsecs] #13: <d3debee0>, hrtimer_wakeup, S:01 # expires at 245528874693860-245528875691857 nsecs [in 675570100 to 676568097 nsecs] #14: <d4de7ee0>, hrtimer_wakeup, S:01 # expires at 245529000459780-245529001459777 nsecs [in 801336020 to 802336017 nsecs] #15: <d4ed3ae0>, hrtimer_wakeup, S:01 # expires at 245529043113060-245529044113053 nsecs [in 843989300 to 844989293 nsecs] #16: <d4db5ee0>, hrtimer_wakeup, S:01 # expires at 245529044579620-245529045578617 nsecs [in 845455860 to 846454857 nsecs] #17: <d4dd5ae0>, hrtimer_wakeup, S:01 # expires at 245529059431440-245529060431434 nsecs [in 860307680 to 861307674 nsecs] #18: <d4db9ee0>, hrtimer_wakeup, S:01 # expires at 245529119382540-245529120382538 nsecs [in 920258780 to 921258778 nsecs] #19: <d4ee7ae0>, hrtimer_wakeup, S:01 # expires at 245529160512840-245529161512837 nsecs [in 961389080 to 962389077 nsecs] #20: <d4f71ee0>, hrtimer_wakeup, S:01 # expires at 245529183387700-245529184387698 nsecs [in 984263940 to 985263938 nsecs] #21: <d4e04058>, it_real_fn, S:01 # expires at 245530568574900-245530568574900 nsecs [in 2369451140 to 2369451140 nsecs] #22: <d4dc3f40>, hrtimer_wakeup, S:01 # expires at 245531169953200-245531170003200 nsecs [in 2970829440 to 2970879440 nsecs] #23: <df508300>, timerfd_tmrproc, S:01 # expires at 245531348608160-245531348608160 nsecs [in 3149484400 to 3149484400 nsecs] #24: <d7bb1f00>, timerfd_tmrproc, S:01 # expires at 245531484363000-245531484363000 nsecs [in 3285239240 to 3285239240 nsecs] #25: <dfb5b5e0>, watchdog_timer_fn, S:01 # expires at 245532121000000-245532121000000 nsecs [in 3921876240 to 3921876240 nsecs] #26: <d4ff0a80>, timerfd_tmrproc, S:01 # expires at 245544465270780-245544465270780 nsecs [in 16266147020 to 16266147020 nsecs] #27: <d4f39f40>, hrtimer_wakeup, S:01 # expires at 248444561383280-248444561433280 nsecs [in 2916362259520 to 2916362309520 nsecs] #28: <c06dfd30>, sched_clock_poll, S:01 # expires at 2147483647500000-2147483647500000 nsecs [in 1901955448376240 to 1901955448376240 nsecs] #29: <d7985ae0>, hrtimer_wakeup, S:01 # expires at 4385390662891500-4385390762891500 nsecs [in 4139862463767740 to 4139862563767740 nsecs] clock 1: .base: dfb5b408 .index: 1 .resolution: 1000000 nsecs .get_time: ktime_get_real active timers: clock 2: .base: dfb5b440 .index: 2 .resolution: 1000000 nsecs .get_time: ktime_get_boottime active timers: clock 3: .base: dfb5b478 .index: 3 .resolution: 1000000 nsecs .get_time: ktime_get_clocktai active timers: cpu: 1 clock 0: .base: dfb663d0 .index: 0 .resolution: 1000000 nsecs .get_time: ktime_get active timers: #0: <c06cce90>, sched_rt_period_timer, S:01 # expires at 245528200000000-245528200000000 nsecs [in 876240 to 876240 nsecs] #1: <d78e5f40>, hrtimer_wakeup, S:01 # expires at 245528313285980-245528313335980 nsecs [in 114162220 to 114212220 nsecs] #2: <d4d9dee0>, hrtimer_wakeup, S:01 # expires at 245528383380080-245528384377077 nsecs [in 184256320 to 185253317 nsecs] #3: <d5bfbb78>, hrtimer_wakeup, S:01 # expires at 245528431304380-245528432304375 nsecs [in 232180620 to 233180615 nsecs] #4: <d5a85ee0>, hrtimer_wakeup, S:01 # expires at 245528477382400-245528478382397 nsecs [in 278258640 to 279258637 nsecs] #5: <d4d5fee0>, hrtimer_wakeup, S:01 # expires at 245528505340500-245528506340497 nsecs [in 306216740 to 307216737 nsecs] #6: <d4e07ee0>, hrtimer_wakeup, S:01 # expires at 245528564711800-245528565708797 nsecs [in 365588040 to 366585037 nsecs] #7: <d4e3bb78>, hrtimer_wakeup, S:01 # expires at 245528662404820-245528663404815 nsecs [in 463281060 to 464281055 nsecs] #8: <d4f47ee0>, hrtimer_wakeup, S:01 # expires at 245529052395480-245529053395477 nsecs [in 853271720 to 854271717 nsecs] #9: <d7423ee0>, hrtimer_wakeup, S:01 # expires at 245529081406400-245529082403397 nsecs [in 882282640 to 883279637 nsecs] #10: <d4dfbb78>, hrtimer_wakeup, S:01 # expires at 245529157296740-245529158296737 nsecs [in 958172980 to 959172977 nsecs] #11: <dfb665e0>, watchdog_timer_fn, S:01 # expires at 245532131000000-245532131000000 nsecs [in 3931876240 to 3931876240 nsecs] #12: <d74c5ae0>, hrtimer_wakeup, S:01 # expires at 245537474496220-245537474496220 nsecs [in 9275372460 to 9275372460 nsecs] #13: <d4cd7ee0>, hrtimer_wakeup, S:01 # expires at 245548350265280-245548380234277 nsecs [in 20151141520 to 20181110517 nsecs] #14: <d58e5ae0>, hrtimer_wakeup, S:01 # expires at 245555410619560-245555410619560 nsecs [in 27211495800 to 27211495800 nsecs] #15: <d5947b78>, hrtimer_wakeup, S:01 # expires at 245557236819540-245557296819535 nsecs [in 29037695780 to 29097695775 nsecs] #16: <d3f0fae0>, hrtimer_wakeup, S:01 # expires at 263138199724520-263138299724520 nsecs [in 17610000600760 to 17610100600760 nsecs] clock 1: .base: dfb66408 .index: 1 .resolution: 1000000 nsecs .get_time: ktime_get_real active timers: clock 2: .base: dfb66440 .index: 2 .resolution: 1000000 nsecs .get_time: ktime_get_boottime active timers: clock 3: .base: dfb66478 .index: 3 .resolution: 1000000 nsecs .get_time: ktime_get_clocktai active timers: Tick Device: mode: 0 Broadcast device Clock Event Device: <NULL> tick_broadcast_mask: 00000000 Tick Device: mode: 0 Per CPU device: 0 Clock Event Device: timer_ce max_delta_ns: 21474836441 min_delta_ns: 1000 mult: 214748365 shift: 32 mode: 2 next_event: 9223372036854775807 nsecs set_next_event: timer_set_next_event set_mode: timer_set_mode event_handler: tick_handle_periodic retries: 0 Tick Device: mode: 0 Per CPU device: 1 Clock Event Device: timer_ce max_delta_ns: 21474836441 min_delta_ns: 1000 mult: 214748365 shift: 32 mode: 2 next_event: 9223372036854775807 nsecs set_next_event: timer_set_next_event set_mode: timer_set_mode event_handler: tick_handle_periodic retries: 0 ######/proc/bus/pci/devices###### 0000 14e46313 5c 0 0 0 0 0 0 0 0 0 0 0 0 0 0 pcieport 0100 14e4aa52 5c 90400004 0 90000004 0 0 0 0 8000 0 400000 0 0 0 0 pcieh 0000 14e46313 5d 0 0 0 0 0 0 0 0 0 0 0 0 0 0 pcieport 0100 14e44331 5d a0000004 0 0 0 0 0 0 4000 0 0 0 0 0 0 wl ######/proc/sys/kernel/sched_compat_yield###### /proc/sys/kernel/sched_compat_yield does not exist on this system. ######/proc/sys/kernel/sched_rt_period_us###### 100000 ######/proc/sys/kernel/sched_rt_runtime_us###### 99000 ###### ps ###### PID USER VSZ STAT COMMAND 1 root 1436 S /sbin/init 2 root 0 SW [kthreadd] 3 root 0 SW [ksoftirqd/0] 5 root 0 SW< [kworker/0:0H] 7 root 0 SW [rcu_preempt] 8 root 0 SW [rcu_sched] 9 root 0 SW [rcu_bh] 10 root 0 SW [migration/0] 11 root 0 SW [watchdog/0] 12 root 0 SW [watchdog/1] 13 root 0 SW [migration/1] 14 root 0 SW [ksoftirqd/1] 16 root 0 SW< [kworker/1:0H] 17 root 0 SW< [khelper] 18 root 0 SW [kdevtmpfs] 21 root 0 SW< [perf] 41 root 0 SW [temp_reader_CPU] 42 root 0 SW [kworker/1:1] 127 root 0 SW< [writeback] 129 root 0 SW< [crypto] 130 root 0 SW< [bioset] 132 root 0 SW< [kblockd] 148 root 0 SW [skb_free_task] 149 root 0 SW [bcmFapDrv] 162 root 0 SW< [mptcp_wq] 174 root 0 SWN [kswapd0] 240 root 0 SW [fsnotify_mark] 312 root 0 SW [pm_info] 317 root 0 SW< [eventsink_wq] 319 root 0 SWN [avm_debugd] 375 root 0 SW [cfinteractive] 378 root 0 SW [btnhandler0] 379 root 0 SW [btnhandler1] 380 root 0 SW [btnhandler2] 395 root 0 SW [kworker/0:1] 407 root 0 SW< [linkwatch] 413 root 0 SW< [ipv6_addrconf] 427 root 0 SW [tffsd] 428 root 0 SW< [deferwq] 438 root 0 SW [ubi_bgt0d] 455 root 0 SW< [kworker/0:1H] 456 root 0 SW< [kworker/1:1H] 537 root 0 SW [cleanup_timer_f] 538 root 0 SW [avm_connect_eve] 539 root 0 SW< [eventsink_wq] 540 root 0 SW< [eventsink_wq] 548 root 2028 S /sbin/udevd --daemon 709 root 0 SW [bcmxtm_rx] 714 root 0 SW [bcmFlwStatsTask] 749 root 0 SW [bcmsw_rx] 768 root 0 SW [bcmsw] 847 root 0 SW [kbdmf_shell] 863 root 4640 S /bin/swmdk 880 root 0 SW [dhd_watchdog_th] 881 root 0 SW [dhd0_dpc] 882 root 0 SW [wfd0-thrd] 890 root 0 SW [wl1-kthrd] 893 root 0 SW [wfd1-thrd] 900 root 5872 S /bin/smd 901 root 6204 S ssk 1038 root 3420 S mcpd 1078 root 11160 S wlmngr2 -m 0 1083 root 1564 S rngd 1129 root 0 SW [ubifs_bgt0_3] 1136 root 3496 S /bin/wlevt2 1155 root 0 SW< [avm_dect_thread] 1158 root 0 SW< [capi_pipew] 1159 root 0 SW< [capi_schedw] 1160 root 0 SW [pcmlink_ctrl/0] 1161 root 0 SW [kworker/0:2] 1211 root 0 SW [capitransp] 1699 root 3044 S /bin/configd 2078 root 2028 S /sbin/udevd --daemon 2079 root 2028 S /sbin/udevd --daemon 2122 root 4072 S avmipcd 2308 root 8468 S avm2brcm_xdsld -d -m 0 -f /var/dsl/dsl_start.cfg 2312 root 0 SW [dsl0] 2314 root 6036 S dsl_monitor -d 2331 root 6892 S /bin/avmnexusd 2333 root 5080 S /sbin/kpid -d 2472 root 4808 S l2tpv3d 2478 root 24168 S /usr/bin/avm/ctlmgr 2484 root 10168 S upnpd 2581 root 7268 S multid 2586 root 0 SW [kworker/1:2] 2649 root 4388 S pcpd 2658 root 7872 S wland -B 2693 root 7936 S dsld -i -n 2725 root 4264 S deviceinfod 2755 root 4968 S pbd 2768 root 2576 S /bin/eapd 2770 root 3008 S /bin/nas 2773 root 4580 S /bin/wps_monitor 2795 root 10736 S telefon a127.0.0.1 2815 root 3888 S /bin/hspotap 2817 root 2328 S toad 2824 root 10064 S< voipd 2838 root 8184 S plcd 2843 root 11284 S /sbin/meshd 2868 root 12852 S {aha_main} /usr/bin/aha 2876 root 3064 S /usr/bin/boxnotifyd 2891 root 2200 S /bin/run_clock -c /dev/tffs -d 3048 root 1432 S httpd-webcfg -P /var/run/webcfg.pid -p 81 -c /mod/etc/webcfg.conf -h /usr/mww/ -r Freetz 3162 root 1432 S inetd 3233 root 1436 S /sbin/init 4089 root 2892 S /bin/acsd 4154 root 0 SW [kworker/u4:0] 4314 root 1212 R dropbear -i -0 4315 root 1460 S -sh 4375 root 0 SW [kworker/u4:2] 4651 root 1444 S {exe} ash /opt/scripts/dumpsysinfo.sh 4674 root 1436 R ps
Networking Info
######ifconfig -a###### adsl Link encap:UNSPEC HWaddr 00-00-00-00-00-00-00-00-00-00-00-00-00-00-00-00 UP POINTOPOINT RUNNING NOARP MULTICAST MTU:2000 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:32 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) bcmsw Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST RUNNING MULTICAST MTU:1500 Metric:1 RX packets:39224 errors:0 dropped:0 overruns:0 frame:0 TX packets:437027 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:4828402 (4.6 MiB) TX bytes:45540713 (43.4 MiB) Base address:0xffff dsl0 Link encap:UNSPEC HWaddr 00-00-00-00-00-00-00-00-00-00-00-00-00-00-00-00 [NO FLAGS] MTU:0 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) eth0 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST PROMISC MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) eth1 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) eth2 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST RUNNING ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:39292 errors:0 dropped:0 overruns:0 frame:0 TX packets:437409 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:4840124 (4.6 MiB) TX bytes:43819281 (41.7 MiB) eth3 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) eth4 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) gre0 Link encap:UNSPEC HWaddr 00-00-00-00-64-66-00-37-00-00-00-00-00-00-00-00 NOARP MTU:1476 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) gretap0 Link encap:Ethernet HWaddr 00:00:00:00:00:00 BROADCAST MULTICAST MTU:1462 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) guest Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx inet addr:192.168.179.1 Bcast:192.168.179.255 Mask:255.255.255.0 UP BROADCAST ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) ifb0 Link encap:Ethernet HWaddr 86:16:B1:xx:xx:xx BROADCAST NOARP MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:32 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) ifb1 Link encap:Ethernet HWaddr 82:74:CB:41:C9:42 BROADCAST NOARP MTU:1500 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:32 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) ip6tnl0 Link encap:UNSPEC HWaddr 00-00-00-00-00-00-00-00-00-00-00-00-00-00-00-00 NOARP MTU:1452 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) lan Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx inet addr:192.168.178.1 Bcast:192.168.178.255 Mask:255.255.255.0 inet6 addr: fe80::eadf:70ff:fea7:29a6/64 Scope:Link UP BROADCAST RUNNING ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:663819 errors:0 dropped:0 overruns:0 frame:0 TX packets:437046 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:71514743 (68.2 MiB) TX bytes:42025898 (40.0 MiB) lan:0 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx inet addr:169.254.1.1 Bcast:169.254.255.255 Mask:255.255.0.0 UP BROADCAST RUNNING ALLMULTI MULTICAST MTU:1500 Metric:1 lo Link encap:Local Loopback inet addr:127.0.0.1 Mask:255.0.0.0 inet6 addr: ::1/128 Scope:Host UP LOOPBACK RUNNING MTU:65536 Metric:1 RX packets:1329844 errors:0 dropped:0 overruns:0 frame:0 TX packets:1329844 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:215539686 (205.5 MiB) TX bytes:215539686 (205.5 MiB) sit0 Link encap:IPv6-in-IPv4 NOARP MTU:1480 Metric:1 RX packets:0 errors:0 dropped:0 overruns:0 frame:0 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:0 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) wl0 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST RUNNING ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:4 overruns:0 frame:0 TX packets:303098 errors:0 dropped:123917 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:31401956 (29.9 MiB) wl1 Link encap:Ethernet HWaddr E8:DF:70:xx:xx:xx UP BROADCAST RUNNING ALLMULTI MULTICAST MTU:1500 Metric:1 RX packets:0 errors:0 dropped:1 overruns:0 frame:671349 TX packets:0 errors:0 dropped:0 overruns:0 carrier:0 collisions:0 txqueuelen:1000 RX bytes:0 (0.0 B) TX bytes:0 (0.0 B) Interrupt:93 ######brctl show###### bridge name bridge id STP enabled interfaces guest 8000.e8df70a729a6 no lan 8000.e8df70a729a6 no eth1 eth2 eth3 eth4 wl0 wl1 ######route -n###### Kernel IP routing table Destination Gateway Genmask Flags Metric Ref Use Iface 169.254.0.0 0.0.0.0 255.255.0.0 U 0 0 0 lan 192.168.178.0 0.0.0.0 255.255.255.0 U 0 0 0 lan 192.168.179.0 0.0.0.0 255.255.255.0 U 0 0 0 guest ###### iptables -t nat -L ###### iptables v1.4.21: can't initialize iptables table `nat': Table does not exist (do you need to insmod?) Perhaps iptables or your kernel needs to be upgraded. ###### iptables -t filter -L ###### Chain INPUT (policy ACCEPT) target prot opt source destination Chain FORWARD (policy ACCEPT) target prot opt source destination Chain OUTPUT (policy ACCEPT) target prot opt source destination ###### iptables -t mangle -L ###### Chain PREROUTING (policy ACCEPT) target prot opt source destination Chain INPUT (policy ACCEPT) target prot opt source destination Chain FORWARD (policy ACCEPT) target prot opt source destination Chain OUTPUT (policy ACCEPT) target prot opt source destination Chain POSTROUTING (policy ACCEPT) target prot opt source destination ######/proc/net/arp###### IP address HW type Flags HW address Mask Device 192.168.178.20 0x1 0x2 70:62:b8:ae:ac:0a * lan ######/proc/sys/net/core/netdev_budget###### 300 ######/proc/sys/net/core/netdev_max_backlog###### 1000 ######/proc/sys/net/core/dev_weight###### 64 ######/proc/net/ip_tables_names###### mangle filter ######/proc/net/ip_tables_matches###### mark mac icmp multiport tos dscp udplite udp tcp ######/proc/net/ip_tables_targets###### SKIPLOG MARK TCPMSS ERROR TOS DSCP ######/proc/net/netfilter/nf_log###### 0 NONE () 1 NONE () 2 NONE () 3 NONE () 4 NONE () 5 NONE () 6 NONE () 7 NONE () 8 NONE () 9 NONE () 10 NONE () 11 NONE () 12 NONE () ######/proc/net/netfilter/nf_queue###### /proc/net/netfilter/nf_queue does not exist on this system. ######/proc/net/netfilter/nfnetlink_queue###### /proc/net/netfilter/nfnetlink_queue does not exist on this system. ######/proc/net/stat/nf_conntrack###### /proc/net/stat/nf_conntrack does not exist on this system. ######/proc/net/nf_conntrack###### /proc/net/nf_conntrack does not exist on this system. ######/proc/net/nf_conntrack_expect###### /proc/net/nf_conntrack_expect does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_acct###### /proc/sys/net/netfilter/nf_conntrack_acct does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_checksum###### /proc/sys/net/netfilter/nf_conntrack_checksum does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_generic_timeout###### /proc/sys/net/netfilter/nf_conntrack_generic_timeout does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_icmp_timeout###### /proc/sys/net/netfilter/nf_conntrack_icmp_timeout does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_log_invalid###### /proc/sys/net/netfilter/nf_conntrack_log_invalid does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_be_liberal###### /proc/sys/net/netfilter/nf_conntrack_tcp_be_liberal does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_loose###### /proc/sys/net/netfilter/nf_conntrack_tcp_loose does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_max_retrans###### /proc/sys/net/netfilter/nf_conntrack_tcp_max_retrans does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_close###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_close does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_close_wait###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_close_wait does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_established###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_established does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_fin_wait###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_fin_wait does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_last_ack###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_last_ack does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_max_retrans###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_max_retrans does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_syn_recv###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_syn_recv does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_syn_sent###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_syn_sent does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_time_wait###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_time_wait does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_tcp_timeout_unacknowledged###### /proc/sys/net/netfilter/nf_conntrack_tcp_timeout_unacknowledged does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_udp_timeout###### /proc/sys/net/netfilter/nf_conntrack_udp_timeout does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_udp_timeout_stream###### /proc/sys/net/netfilter/nf_conntrack_udp_timeout_stream does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_buckets###### /proc/sys/net/netfilter/nf_conntrack_buckets does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_max###### /proc/sys/net/netfilter/nf_conntrack_max does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_count###### /proc/sys/net/netfilter/nf_conntrack_count does not exist on this system. ######/proc/sys/net/netfilter/nf_conntrack_expect_max###### /proc/sys/net/netfilter/nf_conntrack_expect_max does not exist on this system.
Multicast Info
###### /var/mcpd.conf ###### # #Begin IGMP configuration # igmp-default-version 3 igmp-query-interval 125 igmp-query-response-interval 10 igmp-last-member-query-interval 10 igmp-robustness-value 2 igmp-max-groups 25 igmp-max-sources 10 igmp-max-members 25 igmp-fast-leave 1 igmp-admission-required 0 igmp-proxy-interfaces dsl igmp-snooping-interfaces lan igmp-mcast-interfaces dsl # #End IGMP configuration # # #Begin MLD configuration # mld-default-version 2 mld-query-interval 125 mld-query-response-interval 10 mld-last-member-query-interval 10 mld-robustness-value 2 mld-max-groups 10 mld-max-sources 10 mld-max-members 10 mld-fast-leave 1 mld-admission-required 0 mld-proxy-interfaces dsl mld-snooping-interfaces lan mld-mcast-interfaces dsl # #End MLD configuration # # #Begin mcast configuration # mcast-max-groups-port-list mcpd-strict-wan 0 igmp-mcast-snoop-exceptions 239.255.255.250/255.255.255.255 224.0.255.135/255.255.255.255 mld-mcast-snoop-exceptions ff05::0001:0003/ffff:ffff:ffff:ffff:ffff:ffff:ffff:ffff # #End mcast configuration # ######/proc/net/igmp###### Idx Device  : Count Querier Group Users Timer Reporter 1 lo  : 1 V3 010000E0 1 0:00000000 0 8 eth0  : 1 V3 010000E0 1 0:00000000 0 9 eth1  : 1 V3 010000E0 1 0:00000000 0 10 eth2  : 1 V3 010000E0 1 0:00000000 0 11 eth3  : 1 V3 010000E0 1 0:00000000 0 12 eth4  : 1 V3 010000E0 1 0:00000000 0 15 wl0  : 1 V3 010000E0 1 0:00000000 0 16 wl1  : 1 V3 010000E0 1 0:00000000 0 17 adsl  : 1 V3 010000E0 1 0:00000000 0 18 lan  : 5 V3 FAFFFFEF 3 0:00000000 0 FC0000E0 1 0:00000000 0 FB0000E0 1 0:00000000 0 160000E0 1 0:00000000 0 010000E0 2 0:00000000 0 19 guest  : 3 V3 FC0000E0 1 0:00000000 0 FB0000E0 1 0:00000000 0 010000E0 1 0:00000000 0 ######/proc/net/igmp_snooping###### Bridge guest igmp snooping 2 lan2lan-snooping 0/1, rate-limit 0pps, priority -1 Port Name Querier Timeout bridge device src-dev type #tags lan-tci wan-tci group mode RxGroup source reporter timeout ExcludPt Index Bridge lan igmp snooping 2 lan2lan-snooping 0/1, rate-limit 0pps, priority -1 Port Name Querier Timeout wl1 NO 000 wl0 NO 000 eth2 NO 000 bridge device src-dev type #tags lan-tci wan-tci group mode RxGroup source reporter timeout ExcludPt Index ######/proc/net/ip_mr_cache###### Group Origin Iif Pkts Bytes Wrong Oifs ######/proc/net/ip_mr_vif###### Interface BytesIn PktsIn BytesOut PktsOut Flags Local Remote 0 lan 0 0 0 0 00008 00000012 00000000 ######/proc/net/dev_mcast###### 18 lan 1 0 333300000001 18 lan 1 0 333300000002 18 lan 1 0 01005e000001 18 lan 1 0 3333ffa729a6 18 lan 1 0 3333ff000000 18 lan 1 0 01005e000016 18 lan 1 0 333300000016 18 lan 1 0 01005e0000fb 18 lan 1 0 01005e0000fc 18 lan 1 0 01005e7ffffa 18 lan 1 0 33330000000c 16 wl1 1 0 01005e000001 15 wl0 1 0 01005e000001 8 eth0 1 0 01005e000001 9 eth1 1 0 01005e000001 10 eth2 1 0 01005e000001 19 guest 1 0 333300000001 19 guest 1 0 333300000002 19 guest 1 0 01005e000001 19 guest 1 0 01005e0000fb 19 guest 1 0 01005e0000fc 11 eth3 1 0 01005e000001 12 eth4 1 0 01005e000001 ######/proc/net/igmp6###### 1 lo ff020000000000000000000000000001 1 0000000C 0 1 lo ff010000000000000000000000000001 1 00000008 0 2 ifb0 ff020000000000000000000000000001 1 00000008 0 2 ifb0 ff010000000000000000000000000001 1 00000008 0 3 ifb1 ff020000000000000000000000000001 1 00000008 0 3 ifb1 ff010000000000000000000000000001 1 00000008 0 4 sit0 ff020000000000000000000000000001 1 00000008 0 4 sit0 ff010000000000000000000000000001 1 00000008 0 5 ip6tnl0 ff020000000000000000000000000001 1 00000008 0 5 ip6tnl0 ff010000000000000000000000000001 1 00000008 0 7 bcmsw ff050000000000000000000000000002 1 00000000 0 7 bcmsw ff010000000000000000000000000002 1 00000008 0 7 bcmsw ff020000000000000000000000000002 1 00000000 0 7 bcmsw ff020000000000000000000000000001 1 00000008 0 7 bcmsw ff010000000000000000000000000001 1 00000008 0 8 eth0 ff020000000000000000000000000001 1 00000008 0 8 eth0 ff010000000000000000000000000001 1 00000008 0 9 eth1 ff020000000000000000000000000001 1 00000008 0 9 eth1 ff010000000000000000000000000001 1 00000008 0 10 eth2 ff020000000000000000000000000001 1 00000008 0 10 eth2 ff010000000000000000000000000001 1 00000008 0 11 eth3 ff020000000000000000000000000001 1 00000008 0 11 eth3 ff010000000000000000000000000001 1 00000008 0 12 eth4 ff020000000000000000000000000001 1 00000008 0 12 eth4 ff010000000000000000000000000001 1 00000008 0 13 gre0 ff020000000000000000000000000001 1 00000008 0 13 gre0 ff010000000000000000000000000001 1 00000008 0 14 gretap0 ff050000000000000000000000000002 1 00000000 0 14 gretap0 ff010000000000000000000000000002 1 00000008 0 14 gretap0 ff020000000000000000000000000002 1 00000000 0 14 gretap0 ff020000000000000000000000000001 1 00000008 0 14 gretap0 ff010000000000000000000000000001 1 00000008 0 15 wl0 ff020000000000000000000000000001 1 00000008 0 15 wl0 ff010000000000000000000000000001 1 00000008 0 16 wl1 ff020000000000000000000000000001 1 00000008 0 16 wl1 ff010000000000000000000000000001 1 00000008 0 17 adsl ff020000000000000000000000000002 1 00000004 0 17 adsl ff020000000000000000000000000001 1 0000000C 0 17 adsl ff010000000000000000000000000001 1 00000008 0 18 lan ff02000000000000000000000000000c 3 00000004 0 18 lan ff020000000000000000000000000016 1 00000004 0 18 lan ff0200000000000000000001ff000000 1 00000004 0 18 lan ff0200000000000000000001ffa729a6 1 00000004 0 18 lan ff020000000000000000000000000002 2 00000004 0 18 lan ff020000000000000000000000000001 1 0000000C 0 18 lan ff010000000000000000000000000001 1 00000008 0 19 guest ff020000000000000000000000000002 1 00000004 0 19 guest ff020000000000000000000000000001 1 0000000C 0 19 guest ff010000000000000000000000000001 1 00000008 0 ######/proc/net/mld_snooping###### mld snooping 2 lan2lan-snooping 0/1, priority -1 Port Name Querier Timeout bridge device src-dev #tags lan-tci wan-tci group mode source timeout reporter Index mld snooping 2 lan2lan-snooping 0/1, priority -1 Port Name Querier Timeout wl1 NO 000 wl0 NO 000 eth2 NO 000 bridge device src-dev #tags lan-tci wan-tci group mode source timeout reporter Index ######/proc/net/ip6_mr_cache###### Group Origin Iif Pkts Bytes Wrong Oifs ######/proc/net/ip6_mr_vif###### Interface BytesIn PktsIn BytesOut PktsOut Flags 0 lan 0 0 0 0 00000
Accelerators
###### /proc/fcache/* ###### Broadcom Packet Flow Cache v4.0 FlowObject idle:+swhit SW_TotHits: TotalBytes HW_tpl HW_TotHits DlConntrack PdConntrack L1-Info Prot SourceIpAddress:Port DestinIpAddress:Port Vlan0(mcast) Vlan1(mcast) tag# IqPrio SkbMark 0xe0dff060@000103 0: 387 12: 28710 0xffffffff 0 (null) (null) EPHY 9 6 <192.168.178.020:41274> <192.168.178.001:00022> 0x0000ffff 0x0000ffff 0 0 0xd5c16d80 Broadcom Packet Flow Cache v4.0 FlowObject idle:+swhit SW_TotHits: TotalBytes HW_tpl HW_TotHits L1-Info MAC SA MAC DA EthType Vlan0 Vlan1 tag# ToS IqPrio SkbMark cat: read error: Is a directory Broadcom Packet Flow Cache v4.0 FlowObject idle:+swhit SW_TotHits: TotalBytes HW_tpl HW_TotHits DlConntrack PdConntrack L1-Info Prot SourceIpAddress:Port DestinIpAddress:Port Vlan0(mcast) Vlan1(mcast) tag# IqPrio SkbMark cat: read error: Is a directory ###### ethswctl -c arldump ###### Success. ######RDPA configuration###### Object: system. Object type: system. Owned by: root
==================
init_cfg : {enabled_emac=emac0+emac1,wan_emac=emac0,switching_mode=none,ip_class_method=fc,runner_ext_sw={enabled=yes,emac_id=emac1,type=type0},us_ddr_queue_enable=no} cfg : {car_mode=yes,headroom_size=40,mtu_size=1536,inner_tpid=0x8100,outer_tpid=0x88a8,add_always_tpid=0x0,ic_dbg_stats=no,force_dscp_to_pbit_us=no,force_dscp_to_pbit_ds=no,options=0x0,rate_limit_overhead=0} tpid_detect[0x8100] : {val_udef=0x0,otag_enabled=yes,itag_enabled=yes,triple_enabled=no} tpid_detect[0x88A8] : {val_udef=0x0,otag_enabled=yes,itag_enabled=yes,triple_enabled=no} Object: egress_tm/dir=us,index=19. Object type: egress_tm. Owned by: system
==================
dir : us index : 19 level : egress_tm mode : disable overall_rl : yes service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} rl_rate_mode : single_rate weight : 0 Object: xtm. Object type: xtm. Owned by: system
==================
index : 0 Object: xtmchannel/index=15. Object type: xtmchannel. Owned by: xtm
==================
index : 15 egress_tm : {egress_tm/dir=us,index=15} enable : yes orl_prty : low Object: egress_tm/dir=us,index=15. Object type: egress_tm. Owned by: xtmchannel/index=15
==================
dir : us index : 15 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=14. Object type: xtmchannel. Owned by: xtm
==================
index : 14 egress_tm : {egress_tm/dir=us,index=14} enable : yes orl_prty : low Object: egress_tm/dir=us,index=14. Object type: egress_tm. Owned by: xtmchannel/index=14
==================
dir : us index : 14 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=13. Object type: xtmchannel. Owned by: xtm
==================
index : 13 egress_tm : {egress_tm/dir=us,index=13} enable : yes orl_prty : low Object: egress_tm/dir=us,index=13. Object type: egress_tm. Owned by: xtmchannel/index=13
==================
dir : us index : 13 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=12. Object type: xtmchannel. Owned by: xtm
==================
index : 12 egress_tm : {egress_tm/dir=us,index=12} enable : yes orl_prty : low Object: egress_tm/dir=us,index=12. Object type: egress_tm. Owned by: xtmchannel/index=12
==================
dir : us index : 12 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=11. Object type: xtmchannel. Owned by: xtm
==================
index : 11 egress_tm : {egress_tm/dir=us,index=11} enable : yes orl_prty : low Object: egress_tm/dir=us,index=11. Object type: egress_tm. Owned by: xtmchannel/index=11
==================
dir : us index : 11 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=10. Object type: xtmchannel. Owned by: xtm
==================
index : 10 egress_tm : {egress_tm/dir=us,index=10} enable : yes orl_prty : low Object: egress_tm/dir=us,index=10. Object type: egress_tm. Owned by: xtmchannel/index=10
==================
dir : us index : 10 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=9. Object type: xtmchannel. Owned by: xtm
==================
index : 9 egress_tm : {egress_tm/dir=us,index=9} enable : yes orl_prty : low Object: egress_tm/dir=us,index=9. Object type: egress_tm. Owned by: xtmchannel/index=9
==================
dir : us index : 9 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=8. Object type: xtmchannel. Owned by: xtm
==================
index : 8 egress_tm : {egress_tm/dir=us,index=8} enable : yes orl_prty : low Object: egress_tm/dir=us,index=8. Object type: egress_tm. Owned by: xtmchannel/index=8
==================
dir : us index : 8 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=7. Object type: xtmchannel. Owned by: xtm
==================
index : 7 egress_tm : {egress_tm/dir=us,index=7} enable : yes orl_prty : low Object: egress_tm/dir=us,index=7. Object type: egress_tm. Owned by: xtmchannel/index=7
==================
dir : us index : 7 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=6. Object type: xtmchannel. Owned by: xtm
==================
index : 6 egress_tm : {egress_tm/dir=us,index=6} enable : yes orl_prty : low Object: egress_tm/dir=us,index=6. Object type: egress_tm. Owned by: xtmchannel/index=6
==================
dir : us index : 6 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=5. Object type: xtmchannel. Owned by: xtm
==================
index : 5 egress_tm : {egress_tm/dir=us,index=5} enable : yes orl_prty : low Object: egress_tm/dir=us,index=5. Object type: egress_tm. Owned by: xtmchannel/index=5
==================
dir : us index : 5 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=4. Object type: xtmchannel. Owned by: xtm
==================
index : 4 egress_tm : {egress_tm/dir=us,index=4} enable : yes orl_prty : low Object: egress_tm/dir=us,index=4. Object type: egress_tm. Owned by: xtmchannel/index=4
==================
dir : us index : 4 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=3. Object type: xtmchannel. Owned by: xtm
==================
index : 3 egress_tm : {egress_tm/dir=us,index=3} enable : yes orl_prty : low Object: egress_tm/dir=us,index=3. Object type: egress_tm. Owned by: xtmchannel/index=3
==================
dir : us index : 3 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=2. Object type: xtmchannel. Owned by: xtm
==================
index : 2 egress_tm : {egress_tm/dir=us,index=2} enable : yes orl_prty : low Object: egress_tm/dir=us,index=2. Object type: egress_tm. Owned by: xtmchannel/index=2
==================
dir : us index : 2 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=1. Object type: xtmchannel. Owned by: xtm
==================
index : 1 egress_tm : {egress_tm/dir=us,index=1} enable : yes orl_prty : low Object: egress_tm/dir=us,index=1. Object type: egress_tm. Owned by: xtmchannel/index=1
==================
dir : us index : 1 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: xtmchannel/index=0. Object type: xtmchannel. Owned by: xtm
==================
index : 0 egress_tm : {egress_tm/dir=us,index=0} enable : yes orl_prty : low Object: egress_tm/dir=us,index=0. Object type: egress_tm. Owned by: xtmchannel/index=0
==================
dir : us index : 0 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 weight : 0 Object: port/index=wan1. Object type: port. Owned by: xtm
==================
index : wan1 wan_type : not set cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=none,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm=null,discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} mtu_size : 1536 cpu_obj : null Object: dhd_helper/radio_idx=0. Object type: dhd_helper. Owned by: system
==================
radio_idx : 0 init_cfg : {rx_post_flow_ring_base_addr=c0e60000,tx_post_flow_ring_base_addr=c43c4000,rx_complete_flow_ring_base_addr=c0e70000,tx_complete_flow_ring_base_addr=c0e68000,r2d_wr_arr_base_addr=e1559000,d2r_rd_arr_base_addr=e1559402,r2d_rd_arr_base_addr=e1559c00,d2r_wr_arr_base_addr=e1559802,tx_post_mgmt_arr_base_addr=e155a000,doorbell_isr=bf1488e4,doorbell_ctx=d9a03600,dongle_wakeup_register=-1874845376,add_llcsnap_header=0} flow_ring_enable[0] : yes flow_ring_enable[1] : yes flow_ring_enable[2] : yes flow_ring_enable[3] : yes flow_ring_enable[4] : yes flow_ring_enable[5] : yes flow_ring_enable[6] : yes flow_ring_enable[7] : yes flow_ring_enable[8] : yes flow_ring_enable[9] : yes flow_ring_enable[10] : yes flow_ring_enable[11] : yes flow_ring_enable[12] : yes flow_ring_enable[13] : yes flow_ring_enable[14] : yes flow_ring_enable[15] : yes flow_ring_enable[16] : yes flow_ring_enable[17] : yes flow_ring_enable[18] : yes flow_ring_enable[19] : yes flow_ring_enable[20] : yes flow_ring_enable[21] : yes flow_ring_enable[22] : yes flow_ring_enable[23] : yes flow_ring_enable[24] : yes flow_ring_enable[25] : yes flow_ring_enable[26] : yes flow_ring_enable[27] : yes flow_ring_enable[28] : yes flow_ring_enable[29] : yes flow_ring_enable[30] : yes flow_ring_enable[31] : yes flow_ring_enable[32] : yes flow_ring_enable[33] : yes flow_ring_enable[34] : yes flow_ring_enable[35] : yes flow_ring_enable[36] : yes flow_ring_enable[37] : yes flow_ring_enable[38] : yes flow_ring_enable[39] : yes flow_ring_enable[40] : yes flow_ring_enable[41] : yes flow_ring_enable[42] : yes flow_ring_enable[43] : yes flow_ring_enable[44] : yes flow_ring_enable[45] : yes flow_ring_enable[46] : yes flow_ring_enable[47] : yes flow_ring_enable[48] : yes flow_ring_enable[49] : yes flow_ring_enable[50] : yes flow_ring_enable[51] : yes flow_ring_enable[52] : yes flow_ring_enable[53] : yes flow_ring_enable[54] : yes flow_ring_enable[55] : yes flow_ring_enable[56] : yes flow_ring_enable[57] : yes flow_ring_enable[58] : yes flow_ring_enable[59] : yes flow_ring_enable[60] : yes flow_ring_enable[61] : yes flow_ring_enable[62] : yes flow_ring_enable[63] : yes flow_ring_enable[64] : yes flow_ring_enable[65] : yes flow_ring_enable[66] : yes flow_ring_enable[67] : yes flow_ring_enable[68] : yes flow_ring_enable[69] : yes flow_ring_enable[70] : yes flow_ring_enable[71] : yes flow_ring_enable[72] : yes flow_ring_enable[73] : yes flow_ring_enable[74] : yes flow_ring_enable[75] : yes flow_ring_enable[76] : yes flow_ring_enable[77] : yes flow_ring_enable[78] : yes flow_ring_enable[79] : yes flow_ring_enable[80] : yes flow_ring_enable[81] : yes flow_ring_enable[82] : yes flow_ring_enable[83] : yes flow_ring_enable[84] : yes flow_ring_enable[85] : yes flow_ring_enable[86] : yes flow_ring_enable[87] : yes flow_ring_enable[88] : yes flow_ring_enable[89] : yes flow_ring_enable[90] : yes flow_ring_enable[91] : yes flow_ring_enable[92] : yes flow_ring_enable[93] : yes flow_ring_enable[94] : yes flow_ring_enable[95] : yes flow_ring_enable[96] : yes flow_ring_enable[97] : yes flow_ring_enable[98] : yes flow_ring_enable[99] : yes flow_ring_enable[100] : yes flow_ring_enable[101] : yes flow_ring_enable[102] : yes flow_ring_enable[103] : yes flow_ring_enable[104] : yes flow_ring_enable[105] : yes flow_ring_enable[106] : yes flow_ring_enable[107] : yes flow_ring_enable[108] : yes flow_ring_enable[109] : yes flow_ring_enable[110] : yes flow_ring_enable[111] : yes flow_ring_enable[112] : yes flow_ring_enable[113] : yes flow_ring_enable[114] : yes flow_ring_enable[115] : yes flow_ring_enable[116] : yes flow_ring_enable[117] : yes flow_ring_enable[118] : yes flow_ring_enable[119] : yes flow_ring_enable[120] : yes flow_ring_enable[121] : yes flow_ring_enable[122] : yes flow_ring_enable[123] : yes flow_ring_enable[124] : yes flow_ring_enable[125] : yes flow_ring_enable[126] : yes flow_ring_enable[127] : yes flow_ring_enable[128] : yes flow_ring_enable[129] : yes flow_ring_enable[130] : yes flow_ring_enable[131] : yes flow_ring_enable[132] : yes flow_ring_enable[133] : yes flow_ring_enable[134] : yes flow_ring_enable[135] : no flow_ring_enable[136] : yes flow_ring_enable[137] : yes flow_ring_enable[138] : no flow_ring_enable[139] : no flow_ring_enable[140] : yes flow_ring_enable[141] : yes flow_ring_enable[142] : no flow_ring_enable[143] : no flow_ring_enable[144] : no flow_ring_enable[145] : yes flow_ring_enable[146] : no flow_ring_enable[147] : yes flow_ring_enable[148] : yes flow_ring_enable[149] : no flow_ring_enable[150] : yes flow_ring_enable[151] : no flow_ring_enable[152] : yes flow_ring_enable[153] : yes flow_ring_enable[154] : no flow_ring_enable[155] : no flow_ring_enable[156] : yes flow_ring_enable[157] : yes flow_ring_enable[158] : no flow_ring_enable[159] : no flow_ring_enable[160] : yes flow_ring_enable[161] : no flow_ring_enable[162] : yes flow_ring_enable[163] : no flow_ring_enable[164] : yes flow_ring_enable[165] : no flow_ring_enable[166] : yes flow_ring_enable[167] : yes flow_ring_enable[168] : yes flow_ring_enable[169] : yes flow_ring_enable[170] : yes flow_ring_enable[171] : yes flow_ring_enable[172] : yes flow_ring_enable[173] : yes flow_ring_enable[174] : yes flow_ring_enable[175] : yes flow_ring_enable[176] : yes flow_ring_enable[177] : yes flow_ring_enable[178] : yes flow_ring_enable[179] : yes flow_ring_enable[180] : yes flow_ring_enable[181] : yes flow_ring_enable[182] : yes flow_ring_enable[183] : yes flow_ring_enable[184] : yes flow_ring_enable[185] : yes flow_ring_enable[186] : yes flow_ring_enable[187] : yes flow_ring_enable[188] : yes flow_ring_enable[189] : yes flow_ring_enable[190] : yes flow_ring_enable[191] : yes flow_ring_enable[192] : yes flow_ring_enable[193] : yes flow_ring_enable[194] : yes flow_ring_enable[195] : yes flow_ring_enable[196] : yes flow_ring_enable[197] : yes flow_ring_enable[198] : yes flow_ring_enable[199] : yes flow_ring_enable[200] : yes flow_ring_enable[201] : yes flow_ring_enable[202] : yes flow_ring_enable[203] : yes flow_ring_enable[204] : yes flow_ring_enable[205] : yes flow_ring_enable[206] : yes flow_ring_enable[207] : yes flow_ring_enable[208] : yes flow_ring_enable[209] : yes flow_ring_enable[210] : yes flow_ring_enable[211] : yes flow_ring_enable[212] : yes flow_ring_enable[213] : yes flow_ring_enable[214] : yes flow_ring_enable[215] : yes flow_ring_enable[216] : yes flow_ring_enable[217] : yes flow_ring_enable[218] : yes flow_ring_enable[219] : yes flow_ring_enable[220] : yes flow_ring_enable[221] : yes flow_ring_enable[222] : yes flow_ring_enable[223] : yes flow_ring_enable[224] : yes flow_ring_enable[225] : yes flow_ring_enable[226] : yes flow_ring_enable[227] : yes flow_ring_enable[228] : yes flow_ring_enable[229] : yes flow_ring_enable[230] : yes flow_ring_enable[231] : yes flow_ring_enable[232] : yes flow_ring_enable[233] : yes flow_ring_enable[234] : yes flow_ring_enable[235] : yes flow_ring_enable[236] : yes flow_ring_enable[237] : yes flow_ring_enable[238] : yes flow_ring_enable[239] : yes flow_ring_enable[240] : yes flow_ring_enable[241] : yes flow_ring_enable[242] : yes flow_ring_enable[243] : yes flow_ring_enable[244] : yes flow_ring_enable[245] : yes flow_ring_enable[246] : yes flow_ring_enable[247] : yes flow_ring_enable[248] : yes flow_ring_enable[249] : yes flow_ring_enable[250] : yes flow_ring_enable[251] : yes flow_ring_enable[252] : yes flow_ring_enable[253] : yes flow_ring_enable[254] : yes flow_ring_enable[255] : yes flow_ring_enable[256] : yes flow_ring_enable[257] : yes flow_ring_enable[258] : yes flow_ring_enable[259] : yes flow_ring_enable[260] : yes flow_ring_enable[261] : yes flow_ring_enable[262] : yes flow_ring_enable[263] : yes flow_ring_enable[264] : yes flow_ring_enable[265] : yes flow_ring_enable[266] : yes flow_ring_enable[267] : yes flow_ring_enable[268] : yes flow_ring_enable[269] : yes flow_ring_enable[270] : yes flow_ring_enable[271] : yes flow_ring_enable[272] : yes flow_ring_enable[273] : yes flow_ring_enable[274] : yes flow_ring_enable[275] : yes flow_ring_enable[276] : yes flow_ring_enable[277] : yes flow_ring_enable[278] : yes flow_ring_enable[279] : yes flow_ring_enable[280] : yes flow_ring_enable[281] : yes flow_ring_enable[282] : yes flow_ring_enable[283] : yes flow_ring_enable[284] : yes flow_ring_enable[285] : yes flow_ring_enable[286] : yes flow_ring_enable[287] : yes flow_ring_enable[288] : yes flow_ring_enable[289] : yes flow_ring_enable[290] : yes flow_ring_enable[291] : yes flow_ring_enable[292] : yes flow_ring_enable[293] : yes flow_ring_enable[294] : yes flow_ring_enable[295] : yes flow_ring_enable[296] : yes flow_ring_enable[297] : yes flow_ring_enable[298] : yes flow_ring_enable[299] : yes flow_ring_enable[300] : yes flow_ring_enable[301] : yes flow_ring_enable[302] : yes flow_ring_enable[303] : yes flow_ring_enable[304] : yes flow_ring_enable[305] : yes flow_ring_enable[306] : yes flow_ring_enable[307] : yes flow_ring_enable[308] : yes flow_ring_enable[309] : yes flow_ring_enable[310] : yes flow_ring_enable[311] : yes flow_ring_enable[312] : yes flow_ring_enable[313] : yes flow_ring_enable[314] : yes flow_ring_enable[315] : yes flow_ring_enable[316] : yes flow_ring_enable[317] : yes flow_ring_enable[318] : yes flow_ring_enable[319] : yes flow_ring_enable[320] : yes flow_ring_enable[321] : yes flow_ring_enable[322] : yes flow_ring_enable[323] : yes flow_ring_enable[324] : yes flow_ring_enable[325] : yes flow_ring_enable[326] : yes flow_ring_enable[327] : yes flow_ring_enable[328] : yes flow_ring_enable[329] : yes flow_ring_enable[330] : yes flow_ring_enable[331] : yes flow_ring_enable[332] : yes flow_ring_enable[333] : yes flow_ring_enable[334] : yes flow_ring_enable[335] : yes flow_ring_enable[336] : yes flow_ring_enable[337] : yes flow_ring_enable[338] : yes flow_ring_enable[339] : yes flow_ring_enable[340] : yes flow_ring_enable[341] : yes flow_ring_enable[342] : yes flow_ring_enable[343] : yes flow_ring_enable[344] : yes flow_ring_enable[345] : yes flow_ring_enable[346] : yes flow_ring_enable[347] : yes flow_ring_enable[348] : yes flow_ring_enable[349] : yes flow_ring_enable[350] : yes flow_ring_enable[351] : yes flow_ring_enable[352] : yes flow_ring_enable[353] : yes flow_ring_enable[354] : yes flow_ring_enable[355] : yes flow_ring_enable[356] : yes flow_ring_enable[357] : yes flow_ring_enable[358] : yes flow_ring_enable[359] : yes flow_ring_enable[360] : yes flow_ring_enable[361] : yes flow_ring_enable[362] : yes flow_ring_enable[363] : yes flow_ring_enable[364] : yes flow_ring_enable[365] : yes flow_ring_enable[366] : yes flow_ring_enable[367] : yes flow_ring_enable[368] : yes flow_ring_enable[369] : yes flow_ring_enable[370] : yes flow_ring_enable[371] : yes flow_ring_enable[372] : yes flow_ring_enable[373] : yes flow_ring_enable[374] : yes flow_ring_enable[375] : yes flow_ring_enable[376] : yes flow_ring_enable[377] : yes flow_ring_enable[378] : yes flow_ring_enable[379] : yes flow_ring_enable[380] : yes flow_ring_enable[381] : yes flow_ring_enable[382] : yes flow_ring_enable[383] : yes flow_ring_enable[384] : yes flow_ring_enable[385] : yes flow_ring_enable[386] : yes flow_ring_enable[387] : yes flow_ring_enable[388] : yes flow_ring_enable[389] : yes flow_ring_enable[390] : yes flow_ring_enable[391] : yes flow_ring_enable[392] : yes flow_ring_enable[393] : yes flow_ring_enable[394] : yes flow_ring_enable[395] : yes flow_ring_enable[396] : yes flow_ring_enable[397] : yes flow_ring_enable[398] : yes flow_ring_enable[399] : yes flow_ring_enable[400] : yes flow_ring_enable[401] : yes flow_ring_enable[402] : yes flow_ring_enable[403] : yes flow_ring_enable[404] : yes flow_ring_enable[405] : yes flow_ring_enable[406] : yes flow_ring_enable[407] : yes flow_ring_enable[408] : yes flow_ring_enable[409] : yes flow_ring_enable[410] : yes flow_ring_enable[411] : yes flow_ring_enable[412] : yes flow_ring_enable[413] : yes flow_ring_enable[414] : yes flow_ring_enable[415] : yes flow_ring_enable[416] : yes flow_ring_enable[417] : yes flow_ring_enable[418] : yes flow_ring_enable[419] : yes flow_ring_enable[420] : yes flow_ring_enable[421] : yes flow_ring_enable[422] : yes flow_ring_enable[423] : yes flow_ring_enable[424] : yes flow_ring_enable[425] : yes flow_ring_enable[426] : yes flow_ring_enable[427] : yes flow_ring_enable[428] : yes flow_ring_enable[429] : yes flow_ring_enable[430] : yes flow_ring_enable[431] : yes flow_ring_enable[432] : yes flow_ring_enable[433] : yes flow_ring_enable[434] : yes flow_ring_enable[435] : yes flow_ring_enable[436] : yes flow_ring_enable[437] : yes flow_ring_enable[438] : yes flow_ring_enable[439] : yes flow_ring_enable[440] : yes flow_ring_enable[441] : yes flow_ring_enable[442] : yes flow_ring_enable[443] : yes flow_ring_enable[444] : yes flow_ring_enable[445] : yes flow_ring_enable[446] : yes flow_ring_enable[447] : yes flow_ring_enable[448] : yes flow_ring_enable[449] : yes flow_ring_enable[450] : yes flow_ring_enable[451] : yes flow_ring_enable[452] : yes flow_ring_enable[453] : yes flow_ring_enable[454] : yes flow_ring_enable[455] : yes flow_ring_enable[456] : yes flow_ring_enable[457] : yes flow_ring_enable[458] : yes flow_ring_enable[459] : yes flow_ring_enable[460] : yes flow_ring_enable[461] : yes flow_ring_enable[462] : yes flow_ring_enable[463] : yes flow_ring_enable[464] : yes flow_ring_enable[465] : yes flow_ring_enable[466] : yes flow_ring_enable[467] : yes flow_ring_enable[468] : yes flow_ring_enable[469] : yes flow_ring_enable[470] : yes flow_ring_enable[471] : yes flow_ring_enable[472] : yes flow_ring_enable[473] : yes flow_ring_enable[474] : yes flow_ring_enable[475] : yes flow_ring_enable[476] : yes flow_ring_enable[477] : yes flow_ring_enable[478] : yes flow_ring_enable[479] : yes flow_ring_enable[480] : yes flow_ring_enable[481] : yes flow_ring_enable[482] : yes flow_ring_enable[483] : yes flow_ring_enable[484] : yes flow_ring_enable[485] : yes flow_ring_enable[486] : yes flow_ring_enable[487] : yes flow_ring_enable[488] : yes flow_ring_enable[489] : yes flow_ring_enable[490] : yes flow_ring_enable[491] : yes flow_ring_enable[492] : yes flow_ring_enable[493] : yes flow_ring_enable[494] : yes flow_ring_enable[495] : yes flow_ring_enable[496] : yes flow_ring_enable[497] : yes flow_ring_enable[498] : yes flow_ring_enable[499] : yes flow_ring_enable[500] : yes flow_ring_enable[501] : yes flow_ring_enable[502] : yes flow_ring_enable[503] : yes flow_ring_enable[504] : yes flow_ring_enable[505] : yes flow_ring_enable[506] : yes flow_ring_enable[507] : yes flow_ring_enable[508] : yes flow_ring_enable[509] : yes flow_ring_enable[510] : yes flow_ring_enable[511] : yes flow_ring_enable[512] : yes flow_ring_enable[513] : yes flow_ring_enable[514] : yes flow_ring_enable[515] : yes flow_ring_enable[516] : yes flow_ring_enable[517] : yes flow_ring_enable[518] : yes flow_ring_enable[519] : yes flow_ring_enable[520] : yes flow_ring_enable[521] : yes flow_ring_enable[522] : yes flow_ring_enable[523] : yes flow_ring_enable[524] : yes flow_ring_enable[525] : yes flow_ring_enable[526] : yes flow_ring_enable[527] : yes flow_ring_enable[528] : yes flow_ring_enable[529] : yes flow_ring_enable[530] : yes flow_ring_enable[531] : yes flow_ring_enable[532] : yes flow_ring_enable[533] : yes flow_ring_enable[534] : yes flow_ring_enable[535] : yes flow_ring_enable[536] : yes flow_ring_enable[537] : yes flow_ring_enable[538] : yes flow_ring_enable[539] : yes flow_ring_enable[540] : yes flow_ring_enable[541] : yes aggregation_size[0] : 1 aggregation_size[1] : 1 aggregation_size[2] : 1 aggregation_size[3] : 1 aggregation_timeout[0] : 10 aggregation_timeout[1] : 10 aggregation_timeout[2] : 10 aggregation_timeout[3] : 10 aggregation_bypass_cpu_tx : yes aggregation_bypass_non_udp_tcp : yes aggregation_bypass_tcp_pktlen : 27968 aggregation_timer : 10 tx_complete_send2host : yes cpu_data : {cpu_port=4,exception_rxq=-1} Object: cpu/index=wlan0. Object type: cpu. Owned by: system
==================
index : wlan0 num_queues : 6 rxq_cfg[8] : {rx_isr=bf11f00c,ring_head=00c25000,size=1024,type=data,dump_data=no,isr_priv=0,rx_dump_data_cb= (null),rxq_stat=bf11f6b0,irq_affinity_mask=0} rxq_cfg[9] : {rx_isr=bf11f00c,ring_head=00c2a000,size=1024,type=data,dump_data=no,isr_priv=1,rx_dump_data_cb= (null),rxq_stat=bf11f6b0,irq_affinity_mask=0} rxq_cfg[10] : {rx_isr=bf11f00c,ring_head=00c33000,size=1024,type=data,dump_data=no,isr_priv=2,rx_dump_data_cb= (null),rxq_stat=bf11f6b0,irq_affinity_mask=0} rxq_cfg[11] : {rx_isr=bf11f00c,ring_head=00c38000,size=1024,type=data,dump_data=no,isr_priv=3,rx_dump_data_cb= (null),rxq_stat=bf11f6b0,irq_affinity_mask=0} rxq_cfg[12] : {rx_isr=bedb535c,ring_head= (null),size=0,type=data,dump_data=no,isr_priv=65548,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} rxq_cfg[13] : {rx_isr=bedb535c,ring_head= (null),size=0,type=data,dump_data=no,isr_priv=65549,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} reason_stat_external_cb : (null) Object: port/index=wlan0. Object type: port. Owned by: cpu/index=wlan0
==================
index : wlan0 cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=none,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm=null,discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: mcast. Object type: wlan_mcast. Owned by: system
==================
fwd_table_entries : 0 dhd_station_entries : 0 ssid_mac_address_entries : 0 Object: mcast. Object type: mcast. Owned by: system
==================
nflows : 0 Object: l2_ucast. Object type: l2_ucast. Owned by: system
==================
nflows : 0 Object: port/index=switch. Object type: port. Owned by: system
==================
index : switch cfg : {emac=emac1,sal=no,dal=no,sal_miss_action=acl,dal_miss_action=acl,physical_port=port0,ls_fc_enable=no,control_sid=wan0} tm_cfg : {egress_tm=null,discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} mtu_size : 0 cpu_obj : null Object: port/index=lan3. Object type: port. Owned by: port/index=switch
==================
index : lan3 cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=port3,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm={egress_tm/dir=ds,index=3},discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: egress_tm/dir=ds,index=3. Object type: egress_tm. Owned by: port/index=lan3
==================
dir : ds index : 3 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 queue_cfg[0] : {queue_id=0,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[1] : {queue_id=1,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[2] : {queue_id=2,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[3] : {queue_id=3,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[4] : {queue_id=4,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[5] : {queue_id=5,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[6] : {queue_id=6,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[7] : {queue_id=7,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: port/index=lan2. Object type: port. Owned by: port/index=switch
==================
index : lan2 cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=port2,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm={egress_tm/dir=ds,index=2},discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: egress_tm/dir=ds,index=2. Object type: egress_tm. Owned by: port/index=lan2
==================
dir : ds index : 2 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 queue_cfg[0] : {queue_id=0,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[1] : {queue_id=1,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[2] : {queue_id=2,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[3] : {queue_id=3,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[4] : {queue_id=4,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[5] : {queue_id=5,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[6] : {queue_id=6,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[7] : {queue_id=7,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: port/index=lan1. Object type: port. Owned by: port/index=switch
==================
index : lan1 cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=port1,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm={egress_tm/dir=ds,index=1},discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: egress_tm/dir=ds,index=1. Object type: egress_tm. Owned by: port/index=lan1
==================
dir : ds index : 1 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 queue_cfg[0] : {queue_id=0,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[1] : {queue_id=1,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[2] : {queue_id=2,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[3] : {queue_id=3,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[4] : {queue_id=4,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[5] : {queue_id=5,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[6] : {queue_id=6,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[7] : {queue_id=7,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: port/index=lan0. Object type: port. Owned by: port/index=switch
==================
index : lan0 cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=port0,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm={egress_tm/dir=ds,index=0},discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: egress_tm/dir=ds,index=0. Object type: egress_tm. Owned by: port/index=lan0
==================
dir : ds index : 0 level : queue mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 8 queue_cfg[0] : {queue_id=0,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[1] : {queue_id=1,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[2] : {queue_id=2,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[3] : {queue_id=3,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[4] : {queue_id=4,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[5] : {queue_id=5,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[6] : {queue_id=6,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} queue_cfg[7] : {queue_id=7,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: ucast. Object type: ucast. Owned by: system
==================
nflows : 0 ipv4_host_address_table[0] : {address=127.0.0.1,reference_count=1} ipv4_host_address_table[1] : {address=192.168.178.1,reference_count=1} ipv4_host_address_table[2] : {address=169.254.1.1,reference_count=1} ipv4_host_address_table[3] : {address=192.168.179.1,reference_count=1} ipv6_host_address_table[0] : {address=::1,reference_count=1} ipv6_host_address_table[1] : {address=fe80::eadf:70ff:fea7:29a6,reference_count=1} host_mac_address_table[0] : {address=e8:df:70:xx:xx:xx,reference_count=4} host_mac_address_table[1] : {address=e8:df:70:xx:xx:xx,reference_count=1} host_mac_address_table[2] : {address=e8:df:70:xx:xx:xx,reference_count=1} host_mac_address_table[3] : {address=e8:df:70:xx:xx:xx,reference_count=1} host_mac_address_table[4] : {address=e8:df:70:xx:xx:xx,reference_count=1} host_mac_address_table[5] : {address=e8:df:70:xx:xx:xx,reference_count=1} host_mac_address_table[6] : {address=e8:df:70:xx:xx:xx,reference_count=1} fc_accel_mode_table : {fc_accel_mode=layer3} Object: port/index=wan0. Object type: port. Owned by: system
==================
index : wan0 wan_type : gbe cfg : {emac=emac0,sal=no,dal=no,sal_miss_action=acl,dal_miss_action=acl,physical_port=port0,ls_fc_enable=no,control_sid=wan0} tm_cfg : {egress_tm={egress_tm/dir=us,index=16},discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} mtu_size : 1536 cpu_obj : null Object: egress_tm/dir=us,index=16. Object type: egress_tm. Owned by: port/index=wan0
==================
dir : us index : 16 level : egress_tm mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} rl_rate_mode : single_rate subsidiary[0] : {egress_tm/dir=us,index=17} subsidiary[1] : {egress_tm/dir=us,index=18} weight : 0 Object: egress_tm/dir=us,index=18. Object type: egress_tm. Owned by: egress_tm/dir=us,index=16
==================
dir : us index : 18 level : egress_tm mode : wrr overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} rl_rate_mode : single_rate weight : 0 Object: egress_tm/dir=us,index=17. Object type: egress_tm. Owned by: egress_tm/dir=us,index=16
==================
dir : us index : 17 level : egress_tm mode : sp overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} rl_rate_mode : single_rate subsidiary[0] : {egress_tm/dir=us,index=20} subsidiary[1] : {egress_tm/dir=us,index=21} subsidiary[2] : {egress_tm/dir=us,index=22} subsidiary[3] : {egress_tm/dir=us,index=23} subsidiary[4] : {egress_tm/dir=us,index=24} subsidiary[5] : {egress_tm/dir=us,index=25} subsidiary[6] : {egress_tm/dir=us,index=26} subsidiary[7] : {egress_tm/dir=us,index=27} weight : 0 Object: egress_tm/dir=us,index=27. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 27 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=0,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=26. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 26 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=1,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=25. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 25 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=2,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=24. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 24 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=3,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=23. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 23 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=4,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=22. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 22 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=5,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=21. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 21 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=6,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: egress_tm/dir=us,index=20. Object type: egress_tm. Owned by: egress_tm/dir=us,index=17
==================
dir : us index : 20 level : queue mode : disable overall_rl : no service_queue : {enable=no} enable : yes rl : {af=0,be=0,burst=0} num_queues : 1 queue_cfg[0] : {queue_id=7,drop_threshold=1024,weight=0,drop_alg=dt,stat_enable=yes, active=yes} weight : 0 Object: cpu/index=host. Object type: cpu. Owned by: system
==================
index : host num_queues : 8 rxq_cfg[0] : {rx_isr=bedb535c,ring_head= (null),size=0,type=data,dump_data=no,isr_priv=0,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} rxq_cfg[1] : {rx_isr=bedb535c,ring_head= (null),size=0,type=data,dump_data=no,isr_priv=1,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} rxq_cfg[2] : {rx_isr=bedb535c,ring_head= (null),size=0,type=data,dump_data=no,isr_priv=2,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} rxq_cfg[3] : {rx_isr=bf031e3c,ring_head=00c21000,size=512,type=data,dump_data=no,isr_priv=3,rx_dump_data_cb=bf031da4,rxq_stat=bf031dbc,irq_affinity_mask=0} rxq_cfg[4] : {rx_isr=bf031e3c,ring_head=00c1e000,size=512,type=data,dump_data=no,isr_priv=4,rx_dump_data_cb=bf031da4,rxq_stat=bf031dbc,irq_affinity_mask=0} rxq_cfg[5] : {rx_isr=bef20970,ring_head=00c1a000,size=256,type=data,dump_data=no,isr_priv=5,rx_dump_data_cb= (null),rxq_stat=bef208f0,irq_affinity_mask=0} rxq_cfg[6] : {rx_isr=bef20970,ring_head=00c1c000,size=256,type=data,dump_data=no,isr_priv=6,rx_dump_data_cb= (null),rxq_stat=bef208f0,irq_affinity_mask=0} rxq_cfg[7] : {rx_isr=bf145090,ring_head=00c2f000,size=128,type=data,dump_data=no,isr_priv=-643811840,rx_dump_data_cb= (null),rxq_stat= (null),irq_affinity_mask=0} reason_cfg[{dir=ds,reason=oam,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=omci,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=flow,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=mcast,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=bcast,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=igmp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=icmpv6,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=trap0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=trap1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=trap2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=trap3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=dhcp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=non_tcp_udp,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=cpu_mirror,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=hdr_err,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=sa_moved,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=unknown_sa,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=unknown_da,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=ip_frag,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=mac_spoofing,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue0,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue1,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue2,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue3,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue4,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue5,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue6,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=queue7,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_udef_0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_udef_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_udef_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_udef_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_pppoe_d,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_pppoe_s,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_arp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_ptp_1588,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_802_1x,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=etype_cfm,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pci_ip_flow_miss1,table_index=-677707776}] : {queue=7,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pci_ip_flow_miss2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pci_ip_flow_miss3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=ip_flow_miss,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=tcp_flags,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=ttl_expired,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=mtu_exceeded,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_icmp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_esp,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_gre,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_ah,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=parser_error,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_ipv6,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_udef_0,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_udef_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_udef_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=l4_udef_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=reserved,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_4,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_5,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_6,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=ds,reason=pbit_7,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=oam,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=omci,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=flow,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=mcast,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=bcast,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=igmp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=icmpv6,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=trap0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=trap1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=trap2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=trap3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=dhcp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=non_tcp_udp,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=cpu_mirror,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=hdr_err,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=sa_moved,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=unknown_sa,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=unknown_da,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=ip_frag,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=mac_spoofing,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue0,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue1,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue2,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue3,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue4,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue5,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue6,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=queue7,table_index=-677707776}] : {queue=0,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_udef_0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_udef_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_udef_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_udef_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_pppoe_d,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_pppoe_s,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_arp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_ptp_1588,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_802_1x,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=etype_cfm,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pci_ip_flow_miss1,table_index=-677707776}] : {queue=7,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pci_ip_flow_miss2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pci_ip_flow_miss3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=ip_flow_miss,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=tcp_flags,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=ttl_expired,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=mtu_exceeded,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_icmp,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_esp,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_gre,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_ah,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=parser_error,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_ipv6,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_udef_0,table_index=-677707776}] : {queue=4,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_udef_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_udef_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=l4_udef_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=reserved,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_0,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_1,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_2,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_3,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_4,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_5,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_6,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_cfg[{dir=us,reason=pbit_7,table_index=-677707776}] : {queue=3,meter=-1,meter_ports=0} reason_stat_external_cb : bf0320dc l4_dst_port_to_reason[0] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[1] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[2] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[3] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[4] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[5] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[6] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[7] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[8] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[9] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[10] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[11] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[12] : {is_tcp=no,l4_dst_port=546,reason=dhcp,is_static=yes,refcnt=1} l4_dst_port_to_reason[13] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[14] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[15] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[16] : {is_tcp=yes,l4_dst_port=53,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[17] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[18] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[19] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[20] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[21] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[22] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[23] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[24] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[25] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[26] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[27] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[28] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[29] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[30] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[31] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[32] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[33] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[34] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[35] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[36] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[37] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[38] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[39] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[40] : {is_tcp=no,l4_dst_port=2427,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[41] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[42] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[43] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[44] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[45] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[46] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[47] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[48] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[49] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[50] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[51] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[52] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[53] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[54] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[55] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[56] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[57] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[58] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[59] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[60] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[61] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[62] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[63] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[64] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[65] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[66] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[67] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[68] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[69] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[70] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[71] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[72] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[73] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[74] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[75] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[76] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[77] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[78] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[79] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[80] : {is_tcp=no,l4_dst_port=2727,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[81] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[82] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[83] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[84] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[85] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[86] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[87] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[88] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[89] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[90] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[91] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[92] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[93] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[94] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[95] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[96] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[97] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[98] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[99] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[100] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[101] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[102] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[103] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[104] : {is_tcp=yes,l4_dst_port=8080,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[105] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[106] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[107] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[108] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[109] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[110] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[111] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[112] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[113] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[114] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[115] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[116] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[117] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[118] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[119] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[120] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[121] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[122] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[123] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[124] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[125] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[126] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[127] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[128] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[129] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[130] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[131] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[132] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[133] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[134] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[135] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[136] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[137] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[138] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[139] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[140] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[141] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[142] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[143] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[144] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[145] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[146] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[147] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[148] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[149] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[150] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[151] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[152] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[153] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[154] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[155] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[156] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[157] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[158] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[159] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[160] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[161] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[162] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[163] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[164] : {is_tcp=no,l4_dst_port=68,reason=dhcp,is_static=yes,refcnt=1} l4_dst_port_to_reason[165] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[166] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[167] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[168] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[169] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[170] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[171] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[172] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[173] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[174] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[175] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[176] : {is_tcp=no,l4_dst_port=67,reason=dhcp,is_static=yes,refcnt=1} l4_dst_port_to_reason[177] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[178] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[179] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[180] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[181] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[182] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[183] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[184] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[185] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[186] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[187] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[188] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[189] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[190] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[191] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[192] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[193] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[194] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[195] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[196] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[197] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[198] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[199] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[200] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[201] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[202] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[203] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[204] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[205] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[206] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[207] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[208] : {is_tcp=no,l4_dst_port=547,reason=dhcp,is_static=yes,refcnt=1} l4_dst_port_to_reason[209] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[210] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[211] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[212] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[213] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[214] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[215] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[216] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[217] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[218] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[219] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[220] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[221] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[222] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[223] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[224] : {is_tcp=yes,l4_dst_port=80,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[225] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[226] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[227] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[228] : {is_tcp=no,l4_dst_port=53,reason=l4_udef_0,is_static=yes,refcnt=1} l4_dst_port_to_reason[229] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[230] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[231] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[232] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[233] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[234] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[235] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[236] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[237] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[238] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[239] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[240] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[241] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[242] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[243] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[244] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[245] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[246] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[247] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[248] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[249] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[250] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[251] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[252] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[253] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[254] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} l4_dst_port_to_reason[255] : {is_tcp=no,l4_dst_port=0,reason=oam,is_static=no,refcnt=0} Object: port/index=cpu. Object type: port. Owned by: cpu/index=host
==================
index : cpu cfg : {emac=none,sal=yes,dal=yes,sal_miss_action=host,dal_miss_action=host,physical_port=none,ls_fc_enable=no,control_sid=none} tm_cfg : {egress_tm=null,discard_prty=high} sa_limit : {max_sa=0,num_sa=0} def_flow : {qos_method=flow,wan_flow=0,action=acl,policer=null,forw_mode=packet,egress_port=wan0,queue_id=0,opbit_remark=no,opbit_val=0,ipbit_remark=no,ipbit_val=0,dscp_remark=no,dscp_val=0,action_vec=0,service_queue_id=0,dei=copy,trap_reason=no_trap} flow_control : {rate=0,burst_size=0,fc_thresh=0,src_address=00:00:00:00:00:00} mirror_cfg : {rx_dst_port=null,tx_dst_port=null} transparent : no loopback : {type=none,op=none,wan_flow=-1,queue=-1} cpu_obj : null Object: vlan_action/dir=us,index=127. Object type: vlan_action. Owned by: system
==================
dir : us index : 127 action : {cmd=0,ovid=0,opbit=0,otpid=0x0,ivid=0,ipbit=0,itpid=0x0} Object: vlan_action/dir=ds,index=127. Object type: vlan_action. Owned by: system
==================
dir : ds index : 127 action : {cmd=0,ovid=0,opbit=0,otpid=0x0,ivid=0,ipbit=0,itpid=0x0}
Console Bootup Messages
cat: can't open '/var/tmp/bootupmessages': No such file or directory

Dependencies

Daily updated index of all dependencies of this command. Last update: 2024-05-21 07:36 GMT.
A * in the Mod column marks info from Supportdata-Probes, which will always stay incomplete.

Relation Typ Object Mod Firmware Info Origin
0 dependencies for this command

Model-Matrix

Daily updated index of the presence, path and size of this command for each model. Last update: 2024-05-21 05:10 GMT.
Showing all models using this command. Click any column header (click-wait-click) to sort the list by the respective data.
The (main/scrpn/boot/arm/prx/atom) label in the Model column shows which CPU is meant for models with multiple Linux instances.
Note that this list is merged from Firmware-Probes of all known AVM firmware for a model, including Recovery.exe and Labor-Files.

Model Firmware Path Size
FRITZ!Box 7581 6.54 - 7.16 /opt/scripts 6.6k - 7.5k
FRITZ!Box 7582 6.83 - 7.17 /opt/scripts 6.6k - 7.5k
2 models use this command

Symbols

Daily updated index of all symbols of this command. Last update: 2024-05-21 07:36 GMT.

Firmware Symbol
0 symbols for this command

SMW-Browser

Information is currently being retrieved from the backend.